3v4l.org

run code in 300+ PHP versions simultaneously
<?php $a = array(array(1, 2), array(3, 4), array(5)); function get_combinations($arrays) { $result = array(array()); $count = count($arrays); $first_array = $arrays[0]; if($count > 2) { $array_merg = get_combinations(array_slice($arrays, 1)); } else { $array_merg = $arrays[1]; } $tmp = array(); foreach($first_array as $arele1) { //print_r($arele1); foreach($array_merg as $arele2) { print_r($arele2); if(is_array($arele2)) { echo 'asds'; $tmp[] = array_merge($arele1,$arele2); } else { $tmp[] = array($arele1,$arele2); } print_r($tmp); } } print_r($tmp); return $tmp; } var_dump(get_combinations($a));
Output for 8.0.0 - 8.0.30, 8.1.0 - 8.1.28, 8.2.0 - 8.2.18, 8.3.0 - 8.3.6
5Array ( [0] => Array ( [0] => 3 [1] => 5 ) ) 5Array ( [0] => Array ( [0] => 3 [1] => 5 ) [1] => Array ( [0] => 4 [1] => 5 ) ) Array ( [0] => Array ( [0] => 3 [1] => 5 ) [1] => Array ( [0] => 4 [1] => 5 ) ) Array ( [0] => 3 [1] => 5 ) asds Fatal error: Uncaught TypeError: array_merge(): Argument #1 must be of type array, int given in /in/jUvdv:22 Stack trace: #0 /in/jUvdv(22): array_merge(1, Array) #1 /in/jUvdv(33): get_combinations(Array) #2 {main} thrown in /in/jUvdv on line 22
Process exited with code 255.
Output for 7.3.0 - 7.3.33, 7.4.0 - 7.4.33
5Array ( [0] => Array ( [0] => 3 [1] => 5 ) ) 5Array ( [0] => Array ( [0] => 3 [1] => 5 ) [1] => Array ( [0] => 4 [1] => 5 ) ) Array ( [0] => Array ( [0] => 3 [1] => 5 ) [1] => Array ( [0] => 4 [1] => 5 ) ) Array ( [0] => 3 [1] => 5 ) asds Warning: array_merge(): Expected parameter 1 to be an array, int given in /in/jUvdv on line 22 Array ( [0] => ) Array ( [0] => 4 [1] => 5 ) asds Warning: array_merge(): Expected parameter 1 to be an array, int given in /in/jUvdv on line 22 Array ( [0] => [1] => ) Array ( [0] => 3 [1] => 5 ) asds Warning: array_merge(): Expected parameter 1 to be an array, int given in /in/jUvdv on line 22 Array ( [0] => [1] => [2] => ) Array ( [0] => 4 [1] => 5 ) asds Warning: array_merge(): Expected parameter 1 to be an array, int given in /in/jUvdv on line 22 Array ( [0] => [1] => [2] => [3] => ) Array ( [0] => [1] => [2] => [3] => ) array(4) { [0]=> NULL [1]=> NULL [2]=> NULL [3]=> NULL }
Output for 5.0.0 - 5.0.5, 5.1.0 - 5.1.6, 5.2.0 - 5.2.17, 5.3.0 - 5.3.29, 5.4.0 - 5.4.45, 5.5.24 - 5.5.35, 5.6.7 - 5.6.28, 7.0.0 - 7.0.20, 7.1.0 - 7.1.25, 7.2.0 - 7.2.33
5Array ( [0] => Array ( [0] => 3 [1] => 5 ) ) 5Array ( [0] => Array ( [0] => 3 [1] => 5 ) [1] => Array ( [0] => 4 [1] => 5 ) ) Array ( [0] => Array ( [0] => 3 [1] => 5 ) [1] => Array ( [0] => 4 [1] => 5 ) ) Array ( [0] => 3 [1] => 5 ) asds Warning: array_merge(): Argument #1 is not an array in /in/jUvdv on line 22 Array ( [0] => ) Array ( [0] => 4 [1] => 5 ) asds Warning: array_merge(): Argument #1 is not an array in /in/jUvdv on line 22 Array ( [0] => [1] => ) Array ( [0] => 3 [1] => 5 ) asds Warning: array_merge(): Argument #1 is not an array in /in/jUvdv on line 22 Array ( [0] => [1] => [2] => ) Array ( [0] => 4 [1] => 5 ) asds Warning: array_merge(): Argument #1 is not an array in /in/jUvdv on line 22 Array ( [0] => [1] => [2] => [3] => ) Array ( [0] => [1] => [2] => [3] => ) array(4) { [0]=> NULL [1]=> NULL [2]=> NULL [3]=> NULL }
Output for 4.3.0 - 4.3.11, 4.4.0 - 4.4.9
5Array ( [0] => Array ( [0] => 3 [1] => 5 ) ) 5Array ( [0] => Array ( [0] => 3 [1] => 5 ) [1] => Array ( [0] => 4 [1] => 5 ) ) Array ( [0] => Array ( [0] => 3 [1] => 5 ) [1] => Array ( [0] => 4 [1] => 5 ) ) Array ( [0] => 3 [1] => 5 ) asdsArray ( [0] => Array ( [0] => 1 [1] => 3 [2] => 5 ) ) Array ( [0] => 4 [1] => 5 ) asdsArray ( [0] => Array ( [0] => 1 [1] => 3 [2] => 5 ) [1] => Array ( [0] => 1 [1] => 4 [2] => 5 ) ) Array ( [0] => 3 [1] => 5 ) asdsArray ( [0] => Array ( [0] => 1 [1] => 3 [2] => 5 ) [1] => Array ( [0] => 1 [1] => 4 [2] => 5 ) [2] => Array ( [0] => 2 [1] => 3 [2] => 5 ) ) Array ( [0] => 4 [1] => 5 ) asdsArray ( [0] => Array ( [0] => 1 [1] => 3 [2] => 5 ) [1] => Array ( [0] => 1 [1] => 4 [2] => 5 ) [2] => Array ( [0] => 2 [1] => 3 [2] => 5 ) [3] => Array ( [0] => 2 [1] => 4 [2] => 5 ) ) Array ( [0] => Array ( [0] => 1 [1] => 3 [2] => 5 ) [1] => Array ( [0] => 1 [1] => 4 [2] => 5 ) [2] => Array ( [0] => 2 [1] => 3 [2] => 5 ) [3] => Array ( [0] => 2 [1] => 4 [2] => 5 ) ) array(4) { [0]=> array(3) { [0]=> int(1) [1]=> int(3) [2]=> int(5) } [1]=> array(3) { [0]=> int(1) [1]=> int(4) [2]=> int(5) } [2]=> array(3) { [0]=> int(2) [1]=> int(3) [2]=> int(5) } [3]=> array(3) { [0]=> int(2) [1]=> int(4) [2]=> int(5) } }

preferences:
264.56 ms | 409 KiB | 329 Q