3v4l.org

run code in 300+ PHP versions simultaneously
<html> <head> <title>Online PHP Script Execution</title> </head> <body> <?php $a = new hwAPIv5Calc(); for ($i = 0; $i < 1; $i++) { $imei = "11111111111122".$i; echo $imei." ".$a->calculateNew($imei)."\n"; } class hwAPIv5Calc{ // OLD ALGO START HERE function calculateOld($imei, $mode){ $arrayofbytes = array(); $digesthash = md5($imei.$this->mode($mode)); $arrayofbytes = $this->bytearray($digesthash); return $this->xorbytes($arrayofbytes); } function mode($arg){ $this->unlock = "5e8dd316726b0335"; $this->flash = "97b7bc6be525ab44"; if($arg == 'unlock'){ return $this->unlock; } else{ return $this->flash; } } private function bytearray($hash){ $splitdigest = substr(chunk_split($hash,2,":"),0,-1); $arrdigest = explode(":",$splitdigest); return $arrdigest; } private function xorbytes($arr){ $codes = ""; foreach (range(0,3) as $i) { $code = dechex(hexdec($arr[$i]) ^ hexdec($arr[4+$i]) ^ hexdec($arr[8+$i]) ^ hexdec($arr[12+$i])); if(strlen($code)< 2) { $code = "0" . $code; } $codes = $codes . $code; } $tmpcdec = hexdec($codes); $tmp1dec = hexdec("1ffffff"); $tmp2dec = hexdec("2000000"); $c = $tmpcdec & $tmp1dec; $c = $c | $tmp2dec; return $c; } // NEW ALGO START HERE function calculateNew($aImei) { $i=$this->HWE_MDM_NCK_V2_ALGO_SELCTOR($aImei); echo $i." "; switch ($i) { case 0: $Code= $this->HWE_MDM_NCK_V2_VAR0 ($aImei); break; case 1: $Code= $this->HWE_MDM_NCK_V2_VAR1 ($aImei); break; case 2: $Code= $this->HWE_MDM_NCK_V2_VAR2 ($aImei); break; case 3: $Code= $this->HWE_MDM_NCK_V2_VAR3 ($aImei); break; case 4: $Code= $this->HWE_MDM_NCK_V2_VAR4 ($aImei); break; case 5: $Code= $this->HWE_MDM_NCK_V2_VAR5 ($aImei); break; case 6: $Code= $this->HWE_MDM_NCK_V2_VAR6 ($aImei); break; } //if($Code[0] == 0)$Code[0] = 9; return $Code; } function HWE_MDM_NCK_V2_ALGO_SELCTOR ($aImei){ $Id = ""; for ($i = 0; $i<15; $i++) { $Id = $Id + (ord($aImei[$i]) +($i+1))*($i+1); } return ($Id % 7); } function crcKw($num){ $crc = crc32($num); if($crc & 0x80000000){ $crc ^= 0xffffffff; $crc += 1; $crc = -$crc; } return $crc; } function get_unit($hex){ $V0=sprintf("%08X",(ord($hex[0]) & 0x000000FF)); $V1=sprintf("%08X",(ord($hex[1]) << 0x08) & 0x0000FF00); $V2=sprintf("%08X",(ord($hex[2]) << 0x10) & 0x00FF0000); $V3=sprintf("%08X",(ord($hex[3]) << 0x18) & 0xFF000000); return $this->bchexdec((substr($V3,0,2).substr($V2,2,2).substr($V1,4,2).substr($V0,6,2))); } function bchexdec($hex) { if(strlen($hex) == 1) { return hexdec($hex); } else { $remain = substr($hex, 0, -1); $last = substr($hex, -1); return bcadd(bcmul(16, $this->bchexdec($remain)), hexdec($last)); } } function bcdechex($dec){ $last = bcmod($dec, 16); $remain = bcdiv(bcsub($dec, $last), 16); if($remain == 0) { return dechex($last); } else { return $this->bcdechex($remain).dechex($last); } } function hex2str($src){ $length = count( $src ); $dst = ""; for( $i = 0; $i < $length; $i++ ){ $dst .= sprintf( "%c", ( $src[ $i ] )); } return $dst; } function str2hex($src){ $length = strlen( $src ); $dst = ""; for( $i = 0; $i < $length; $i++ ){ $dst .= sprintf( "%02X", ord( $src[ $i ] )); } return $dst; } function hextostr($hex){ $str=''; for ($i=0; $i < strlen($hex)-1; $i+=2){ $str .= chr(hexdec($hex[$i].$hex[$i+1])); } return $str; } function HWE_MDM_NCK_V2_VAR0 ($aImei){ $Tbl = array(0x001966A9,0x0021058F,0x002AEDA9,0x0037CE91, 0x00488C9F,0x005E507D,0x007A9BE5,0x009F644B, 0x00CF35A1,0x010D5F55,0x015E2F25,0x01C73D6B, 0x024FCFDD,0x03015B47,0x03E829E9); $Pass=array(); $S=0; $aNck=""; for ($i = 0; $i<15; $i++) { $S=$S+(ord($aImei[$i])* $Tbl[$i]); } for ($i = 0; $i<8; $i++) { $Pass[$i]=($S & 0x0F) % 0x0A; $S=$S >> 4; } if( $Pass[0] == 0 ) $Pass[0]=1; for ($i = 0; $i<8; $i++) { $aNck[$i]=$Pass[$i]+0x30; } return $this->hex2str($aNck); } function HWE_MDM_NCK_V2_VAR1 ($aImei){ $crc = $this->crcKw($aImei); if ( strlen($crc) > 8 ){ $crc = substr($crc,strlen($crc)-8,8); } while(strlen($crc)<8){ $crc = "9" . $crc; } if ($crc[0]=='0' || $crc[0]=='-' || $crc[0] == ' '){ $crc[0]='9'; } $crc = substr($crc,-8); return $crc; } function HWE_MDM_NCK_V2_VAR2 ($aImei) { $Buf=md5($aImei); $A=hexdec($Buf[0].$Buf[1]) % 10; if ($A==0) $Buf ='05'.substr($Buf,2,strlen($Buf)-2); else $Buf =sprintf( "%02X",$A & 0xFF).substr($Buf,2,strlen($Buf)-2); $aNck=""; for( $i = 0; $i<16; $i=$i+2 ) { if (hexdec($Buf[$i].$Buf[$i+1]) > 0x30 && hexdec($Buf[$i].$Buf[$i+1])< 0x39){ $aNck.=chr(hexdec($Buf[$i].$Buf[$i+1])); } else { $aNck.=chr((hexdec($Buf[$i].$Buf[$i+1]) % 0xA) + 0x30); } } return $aNck; } function HWE_MDM_NCK_V2_VAR3 ($aImei){ $Buf=md5($aImei. $this->hextostr('7f2270465154e80d3afe22dbe80f3dbf')); $Dgst=pack('H*',$Buf); $aNck=""; $Pass_Byte=array(); for( $i = 0; $i < 4; $i++ ) $Pass_Byte[$i] = ord($Dgst[$i+0x00]) ^ ord($Dgst[$i+0x04]) ^ ord($Dgst[$i+0x08]) ^ ord($Dgst[$i+0xC]); $aNck= $Pass_Byte[0] << 0x18 | $Pass_Byte[1] << 0x10 | $Pass_Byte[2] << 0x8 | $Pass_Byte[3]; $aNck= ($aNck & 0x1FFFFFF) | 0x2000000; return $aNck; } function HWE_MDM_NCK_V2_VAR4 ($aImei){ $aNck = ""; $Data_Buff=pack('H*',$this->str2hex($aImei).'5A'); for($i = 0; $i < 8; $i++)$Res_Buff[$i]= ord($Data_Buff[$i]) ^ ord($Data_Buff[$i+8]); $Magic_Buff=pack('H*',$this->str2hex('5739146280098765432112345678905')); for( $i = 0; $i < 8; $i++ ) $Res_Buff[$i]= ($Magic_Buff[(($Res_Buff[$i]) & 0x0F)+(($Res_Buff[$i]) >> 4)]); if ($Res_Buff[0] ==0) { for( $i = 0; $i < 8; $i++ ) if ($Res_Buff[$i]<> 0) break; $Res_Buff[0]= $i; } for( $i = 0; $i < 8; $i++ ) $aNck.=$Res_Buff[$i]; return ($aNck); } function HWE_MDM_NCK_V2_VAR5 ($aImei) { $Dgst=pack('H*',sha1($aImei)); $A= $this->bchexdec(sprintf("%08X",ord($Dgst[0]) << 0x18 | ord($Dgst[1]) << 0x10 | ord($Dgst[2]) << 0x8 | ord($Dgst[3]))); $B= $this->bchexdec(sprintf("%08X",ord($Dgst[4]) << 0x18 | ord($Dgst[5]) << 0x10 | ord($Dgst[6]) << 0x8 | ord($Dgst[7]))); return substr($A.$B,0,8); } function HWE_MDM_NCK_V2_VAR6 ($aImei) { $Magic_Table = array(0x01,0x01,0x02,0x03,0x05,0x08,0x0D,0x15, 0x22,0x37,0x59,0x90); $Buff = array_fill(0, 0x180, 0x00); $dest_buff = array_fill(0, 8, 0x00); for( $i = 0; $i < 0x0f; $i++ ) switch ($i % 3) { case 0: $Buff[$i] =(ord($aImei[$i]) >> 2) & 0xFF | (ord($aImei[$i]) << 6) & 0xFF; break; case 1: $Buff[$i] = (ord($aImei[$i]) >> 3) & 0xFF| (ord($aImei[$i]) << 5) & 0xFF; break; case 2: $Buff[$i] = (ord($aImei[$i]) << 4) & 0xFF| (ord($aImei[$i]) >> 4) & 0xFF; break; } $sum_1 = 0; for( $i = 0; $i < 7; $i++ ) $sum_1 = $sum_1 + (($Buff[$i] << 8) + ($Buff[0x0E - $i])); $sum_1 = $sum_1 +$Buff[8]; $j=0; for( $i = 0x0F; $i < 0x80; $i++ ) { $var_34 = floor($i / 0x0C); $R1= $i % 0x0C; $var_38 = $R1 + $var_34; if ($var_38 >= 0x0C) $var_38 = $var_38 -0x0C; $R1 = $j % 0x0C; if ($var_34<2) $var_34 = $R1 + $var_34; else $var_34 = $R1 + ($var_34 * 0x0D) - 0x18; if ($j==0) $R0= sprintf("%08X",($Buff[$sum_1 % $i]) | (0xFFFFFFFF - $Buff[$sum_1 % $i+1])) ; else $R0 = sprintf("%08X",(0xFFFFFFFF- $Buff[$sum_1 % $j]) | ($Buff[$sum_1 % $i])) ; $Buff[$i]= intval(substr($R0,-2,2),16)|(($Buff[$var_34] & $Magic_Table[$var_38])); $j+=1; } $Sum_2 = 0; for( $i = 0; $i < 7; $i++ ) $Sum_2 = $Sum_2 + (((ord($aImei[$i])) << 8) | ord($aImei[$i+1])); $Sum_2 = $Sum_2 + ord($aImei[0x0E]); $Temp=$this->hex2str($Buff); $Dgst=md5(substr($Temp,0,0x80)); $idx = $Sum_2 & 3; $hash_unit =($this->hextostr(substr($Dgst,$idx*8,8))); echo "\n".$hash_unit; $hash_unit=($this->get_unit($hash_unit)); echo "\n".$hash_unit; $DgstA=pack("H*",$Dgst); $Nck_idx=0; for( $i = 0; $i < 0x10; $i++ ) { if ((ord($DgstA[$i]) >= 0x30) & (ord($DgstA[$i]) <= 0x39) ) { $dest_buf[$Nck_idx] = ord($DgstA[$i]); $Nck_idx =$Nck_idx+1; } if ($Nck_idx == 8) { if ($dest_buf[0] ==0x30) if ($Sum_2 == 0) $dest_buf[0] = (ord($Dgst[0]) & 7) + 0x31; else $dest_buf[0] = (ord($Dgst[1]) & 7) + 0x31; return $this->hex2str($dest_buf); } } $j = 0; While ($hash_unit != 0): $R1 = bcmod($hash_unit , 0xA); $hash_unit = bcdiv( $hash_unit , 0xA); $dest_buf[$Nck_idx] = $R1 + 0x30; $Nck_idx +=1; if (( $hash_unit == 0) && ($j == 0)) { $j = 1; $hash_unit =( $this-> hextostr(substr($Dgst,3-$idx,8))); $hash_unit=$this->get_unit($hash_unit); } if ($Nck_idx == 8){ if ($dest_buf[0] ==0x30) if ($Sum_2 == 0) $dest_buf[0] = (ord($DgstA[0]) & 7) + 0x31; else $dest_buf[0] = (ord($DgstA[1]) & 7) + 0x31; return $this->hex2str($dest_buf); } endwhile; for( $i = 0; $i < 0x10; $i++ ) { if ($Nck_idx== 8) $dest_buf[0] = (ord($DgstA[$i]) % 10) + 0x30; else { $dest_buf[$Nck_idx] = (ord($DgstA[$i]) % 10) + 0x30; $Nck_idx+=1; } if ($Nck_idx>=8) if ($dest_buf[0] != 0x30) return $this->hex2str($dest_buf); } if ($Nck_idx == 8){ if ($dest_buf[0] ==0x30) if ($Sum_2 == 0) $dest_buf[0] = (ord($DgstA[0]) & 7) + 0x31; else $dest_buf[0] = (ord($DgstA[1]) & 7) + 0x31; return $this->hex2str($dest_buf); } } } function prm($p) { echo "\n".$p."\n"; } ?> </body> </html>

Here you find the average performance (time & memory) of each version. A grayed out version indicates it didn't complete successfully (based on exit-code).

VersionSystem time (s)User time (s)Memory (MiB)
8.3.60.0130.00618.43
8.3.50.0120.01021.99
8.3.40.0160.00319.10
8.3.30.0090.00619.26
8.3.20.0060.00320.43
8.3.10.0040.00423.64
8.3.00.0060.00319.68
8.2.180.0080.00818.38
8.2.170.0040.01122.96
8.2.160.0150.00020.64
8.2.150.0050.00324.18
8.2.140.0080.00024.66
8.2.130.0060.00326.16
8.2.120.0050.00321.04
8.2.110.0090.00022.19
8.2.100.0090.00318.09
8.2.90.0050.00319.34
8.2.80.0030.00517.97
8.2.70.0030.00617.75
8.2.60.0050.00518.29
8.2.50.0060.00318.07
8.2.40.0100.00018.28
8.2.30.0020.00518.52
8.2.20.0050.00318.00
8.2.10.0000.00919.79
8.2.00.0000.00817.93
8.1.280.0080.00825.92
8.1.270.0030.00622.48
8.1.260.0080.00026.35
8.1.250.0030.00528.09
8.1.240.0060.00324.02
8.1.230.0060.00619.14
8.1.220.0040.00418.03
8.1.210.0080.00318.77
8.1.200.0090.00917.25
8.1.190.0060.00317.72
8.1.180.0080.00018.10
8.1.170.0050.00518.77
8.1.160.0000.00822.17
8.1.150.0000.00818.70
8.1.140.0040.00417.59
8.1.130.0040.00417.93
8.1.120.0060.00317.62
8.1.110.0000.00717.48
8.1.100.0000.00717.55
8.1.90.0040.00417.48
8.1.80.0090.00017.46
8.1.70.0070.00017.54
8.1.60.0060.00317.84
8.1.50.0050.00317.63
8.1.40.0030.00617.72
8.1.30.0090.00017.81
8.1.20.0030.00517.86
8.1.10.0000.00917.68
8.1.00.0060.00617.73
8.0.300.0000.00918.77
8.0.290.0030.00517.00
8.0.280.0000.00718.69
8.0.270.0040.00417.48
8.0.260.0030.00317.41
8.0.250.0040.00417.12
8.0.240.0030.00517.18
8.0.230.0000.00717.24
8.0.220.0040.00417.07
8.0.210.0030.00317.22
8.0.200.0000.00717.08
8.0.190.0040.00417.26
8.0.180.0070.00017.10
8.0.170.0050.00317.21
8.0.160.0070.00017.20
8.0.150.0030.00617.15
8.0.140.0030.00516.97
8.0.130.0000.00613.55
8.0.120.0030.00617.15
8.0.110.0080.00017.06
8.0.100.0000.00817.11
8.0.90.0030.00517.06
8.0.80.0230.00017.16
8.0.70.0080.00017.19
8.0.60.0000.00817.03
8.0.50.0000.00817.11
8.0.30.0070.01317.10
8.0.20.0080.01717.40
8.0.10.0040.00417.19
8.0.00.0080.01217.24
7.4.330.0000.00515.21
7.4.320.0000.00616.77
7.4.300.0030.00316.92
7.4.290.0000.00716.68
7.4.280.0030.00616.75
7.4.270.0040.00416.90
7.4.260.0000.00716.75
7.4.250.0040.00416.75
7.4.240.0010.00716.77
7.4.230.0000.00816.67
7.4.220.0150.00416.95
7.4.210.0140.00616.94
7.4.200.0050.00316.84
7.4.160.0090.00916.74
7.4.150.0130.00617.40
7.4.140.0090.00917.86
7.4.130.0120.00616.90
7.4.120.0100.01116.81
7.4.110.0070.01116.69
7.4.100.0060.01316.82
7.4.90.0090.01916.77
7.4.80.0130.00619.39
7.4.70.0090.01216.73
7.4.60.0030.01416.97
7.4.50.0030.00616.69
7.4.40.0100.00716.68
7.4.30.0100.00716.85
7.4.00.0040.00715.39
7.3.330.0070.00013.53
7.3.320.0030.00313.58
7.3.310.0080.00016.72
7.3.300.0000.00716.59
7.3.290.0100.00616.77
7.3.280.0110.00916.69
7.3.270.0120.00617.40
7.3.260.0170.00816.82
7.3.250.0080.01216.90
7.3.240.0060.01216.64
7.3.230.0150.00516.95
7.3.210.0100.00716.71
7.3.200.0090.01019.39
7.3.190.0180.00616.72
7.3.180.0090.00916.66
7.3.170.0120.00916.71
7.3.160.0060.01216.85
7.3.120.0150.00415.24
7.2.330.0100.01017.07
7.2.320.0140.00417.18
7.2.310.0040.01417.06
7.2.300.0070.01117.02
7.2.290.0150.00317.13
7.2.60.0130.00317.08
7.2.50.0090.00916.66
7.2.00.0070.00719.59
7.1.200.0040.00915.55
7.1.100.0060.00618.08
7.1.70.0000.01117.27
7.1.60.0100.01019.70
7.1.50.0090.00617.07
7.1.00.0100.07022.44
7.0.200.0090.00516.55
7.0.140.0030.07722.12
7.0.100.0130.07720.12
7.0.90.0130.08720.14
7.0.80.0070.05020.16
7.0.70.0170.07320.17
7.0.60.0100.08320.00
7.0.50.0100.07720.45
7.0.40.0070.05720.13
7.0.30.0070.08320.05
7.0.20.0130.06019.95
7.0.10.0100.07020.14
7.0.00.0130.08019.99
5.6.280.0000.08020.90
5.6.250.0230.03020.94
5.6.240.0130.05720.75
5.6.230.0070.08720.81
5.6.220.0100.04720.83
5.6.210.0170.07720.63
5.6.200.0130.08321.23
5.6.190.0070.09021.25
5.6.180.0170.08021.16
5.6.170.0100.07721.14
5.6.160.0100.08721.23
5.6.150.0230.06021.29
5.6.140.0070.05321.15
5.6.130.0070.08321.16
5.6.120.0070.08321.08
5.6.110.0030.08721.12
5.6.100.0130.07021.16
5.6.90.0100.05321.18
5.6.80.0170.07020.57
5.6.70.0030.08020.58
5.6.60.0200.06720.52
5.6.50.0030.08020.64
5.6.40.0130.08020.55
5.6.30.0070.04720.51
5.6.20.0070.08020.59
5.6.10.0070.07720.42
5.6.00.0070.07020.57
5.5.380.0030.07020.49
5.5.370.0070.07720.67
5.5.360.0230.07020.68
5.5.350.0070.07720.45
5.5.340.0170.08021.02
5.5.330.0200.07320.88
5.5.320.0100.04321.04
5.5.310.0100.04720.99
5.5.300.0000.05320.96
5.5.290.0100.08720.94
5.5.280.0130.08020.95
5.5.270.0170.07720.98
5.5.260.0070.05720.91
5.5.250.0100.07020.77
5.5.240.0100.07720.27
5.5.230.0170.07320.39
5.5.220.0070.07720.29
5.5.210.0200.05720.43
5.5.200.0070.04320.12
5.5.190.0170.06020.30
5.5.180.0170.05020.31
5.5.160.0170.07020.39
5.5.150.0100.08320.20
5.5.140.0100.08320.20
5.5.130.0000.08320.20
5.5.120.0070.08020.11
5.5.110.0130.05320.38
5.5.100.0130.07720.14
5.5.90.0070.07720.19
5.5.80.0070.08020.29
5.5.70.0030.05320.09
5.5.60.0030.08020.08
5.5.50.0070.07720.21
5.5.40.0130.06720.13
5.5.30.0070.07720.15
5.5.20.0070.07020.24
5.5.10.0000.06020.19
5.5.00.0130.07320.15
5.4.450.0200.07719.50
5.4.440.0130.07719.49
5.4.430.0000.06719.41
5.4.420.0070.08319.39
5.4.410.0070.08019.10
5.4.400.0000.08018.95
5.4.390.0030.08019.23
5.4.380.0070.08019.09
5.4.370.0070.07019.13
5.4.360.0070.08019.23
5.4.350.0000.09018.95
5.4.340.0130.03719.16
5.4.320.0030.07719.14
5.4.310.0100.07719.09
5.4.300.0030.08019.16
5.4.290.0070.07719.09
5.4.280.0130.07318.94
5.4.270.0100.07319.13
5.4.260.0100.06019.27
5.4.250.0030.07719.08
5.4.240.0070.08019.00
5.4.230.0070.05718.93
5.4.220.0100.06719.13
5.4.210.0130.06018.94
5.4.200.0100.07319.19
5.4.190.0100.07019.13
5.4.180.0070.06719.13
5.4.170.0030.08319.08
5.4.160.0030.08319.21
5.4.150.0030.08019.15
5.4.140.0070.07016.52
5.4.130.0070.06716.29
5.4.120.0070.06716.55
5.4.110.0030.05316.57
5.4.100.0000.06016.48
5.4.90.0070.03316.56
5.4.80.0030.04316.42
5.4.70.0070.03316.55
5.4.60.0070.07316.35
5.4.50.0000.04716.52
5.4.40.0070.03716.43
5.4.30.0030.04016.50
5.4.20.0030.04316.49
5.4.10.0000.04016.44
5.4.00.0070.03315.89
5.3.290.0100.07314.81
5.3.280.0130.06714.85
5.3.270.0070.07714.87
5.3.260.0070.06714.80
5.3.250.0100.08014.93
5.3.240.0070.07014.88
5.3.230.0130.07714.88
5.3.220.0130.05714.84
5.3.210.0030.05714.70
5.3.200.0030.03714.74
5.3.190.0030.04014.71
5.3.180.0030.04314.74
5.3.170.0030.04014.75
5.3.160.0100.03014.84
5.3.150.0030.04014.83
5.3.140.0030.03714.74
5.3.130.0030.04014.83
5.3.120.0030.04014.81
5.3.110.0100.03314.87
5.3.100.0070.04014.24
5.3.90.0000.04714.32
5.3.80.0070.04014.29
5.3.70.0070.06714.28
5.3.60.0070.07714.20
5.3.50.0100.07314.18
5.3.40.0100.07014.14
5.3.30.0130.03314.12
5.3.20.0030.08014.02
5.3.10.0200.03713.95
5.3.00.0000.04013.94

preferences:
43.2 ms | 401 KiB | 5 Q