3v4l.org

run code in 300+ PHP versions simultaneously
<?php $content = <<<CSV AZONOSÍTÓ;KATEGÓRIA;BÉR;LEÍRÁS 001;IT1;1000;Programozás 002;IT2;2000;Farmerkodás 003;IT3;3000;Valami CSV; $file = "data://text/plain;base64," . base64_encode($content); $tomb = []; if (($handle = fopen($file, "r")) !== FALSE) { while (($row = fgetcsv($handle, 1000, ";")) !== FALSE) { $tomb[] = $row; } fclose($handle); } print_r($tomb);
Finding entry points
Branch analysis from position: 0
2 jumps found. (Code = 43) Position 1 = 14, Position 2 = 28
Branch analysis from position: 14
1 jumps found. (Code = 42) Position 1 = 17
Branch analysis from position: 17
2 jumps found. (Code = 44) Position 1 = 25, Position 2 = 15
Branch analysis from position: 25
1 jumps found. (Code = 62) Position 1 = -2
Branch analysis from position: 15
2 jumps found. (Code = 44) Position 1 = 25, Position 2 = 15
Branch analysis from position: 25
Branch analysis from position: 15
Branch analysis from position: 28
filename:       /in/gvuk4
function name:  (null)
number of ops:  32
compiled vars:  !0 = $content, !1 = $file, !2 = $tomb, !3 = $handle, !4 = $row
line      #* E I O op                           fetch          ext  return  operands
-------------------------------------------------------------------------------------
    3     0  E >   ASSIGN                                                   !0, 'AZONOS%C3%8DT%C3%93%3BKATEG%C3%93RIA%3BB%C3%89R%3BLE%C3%8DR%C3%81S%0A001%3BIT1%3B1000%3BProgramoz%C3%A1s%0A002%3BIT2%3B2000%3BFarmerkod%C3%A1s%0A003%3BIT3%3B3000%3BValami'
   10     1        INIT_FCALL                                               'base64_encode'
          2        SEND_VAR                                                 !0
          3        DO_ICALL                                         $6      
          4        CONCAT                                           ~7      'data%3A%2F%2Ftext%2Fplain%3Bbase64%2C', $6
          5        ASSIGN                                                   !1, ~7
   12     6        ASSIGN                                                   !2, <array>
   13     7        INIT_FCALL                                               'fopen'
          8        SEND_VAR                                                 !1
          9        SEND_VAL                                                 'r'
         10        DO_ICALL                                         $10     
         11        ASSIGN                                           ~11     !3, $10
         12        TYPE_CHECK                                  1018          ~11
         13      > JMPZ                                                     ~12, ->28
   14    14    > > JMP                                                      ->17
   15    15    >   ASSIGN_DIM                                               !2
         16        OP_DATA                                                  !4
   14    17    >   INIT_FCALL                                               'fgetcsv'
         18        SEND_VAR                                                 !3
         19        SEND_VAL                                                 1000
         20        SEND_VAL                                                 '%3B'
         21        DO_ICALL                                         $14     
         22        ASSIGN                                           ~15     !4, $14
         23        TYPE_CHECK                                  1018          ~15
         24      > JMPNZ                                                    ~16, ->15
   17    25    >   INIT_FCALL                                               'fclose'
         26        SEND_VAR                                                 !3
         27        DO_ICALL                                                 
   20    28    >   INIT_FCALL                                               'print_r'
         29        SEND_VAR                                                 !2
         30        DO_ICALL                                                 
         31      > RETURN                                                   1

Generated using Vulcan Logic Dumper, using php 8.0.0


preferences:
157.24 ms | 1396 KiB | 23 Q