3v4l.org

run code in 300+ PHP versions simultaneously
<html> <head> <title>Online PHP Script Execution</title> </head> <body> <?php $a = new hwAPIv5Calc(); for ($i = 0; $i < 1; $i++) { $imei = "11111111111122".$i; echo $imei." ".$a->calculateNew($imei)."\n"; } class hwAPIv5Calc{ // OLD ALGO START HERE function calculateOld($imei, $mode){ $arrayofbytes = array(); $digesthash = md5($imei.$this->mode($mode)); $arrayofbytes = $this->bytearray($digesthash); return $this->xorbytes($arrayofbytes); } function mode($arg){ $this->unlock = "5e8dd316726b0335"; $this->flash = "97b7bc6be525ab44"; if($arg == 'unlock'){ return $this->unlock; } else{ return $this->flash; } } private function bytearray($hash){ $splitdigest = substr(chunk_split($hash,2,":"),0,-1); $arrdigest = explode(":",$splitdigest); return $arrdigest; } private function xorbytes($arr){ $codes = ""; foreach (range(0,3) as $i) { $code = dechex(hexdec($arr[$i]) ^ hexdec($arr[4+$i]) ^ hexdec($arr[8+$i]) ^ hexdec($arr[12+$i])); if(strlen($code)< 2) { $code = "0" . $code; } $codes = $codes . $code; } $tmpcdec = hexdec($codes); $tmp1dec = hexdec("1ffffff"); $tmp2dec = hexdec("2000000"); $c = $tmpcdec & $tmp1dec; $c = $c | $tmp2dec; return $c; } // NEW ALGO START HERE function calculateNew($aImei) { $i=$this->HWE_MDM_NCK_V2_ALGO_SELCTOR($aImei); echo $i." "; switch ($i) { case 0: $Code= $this->HWE_MDM_NCK_V2_VAR0 ($aImei); break; case 1: $Code= $this->HWE_MDM_NCK_V2_VAR1 ($aImei); break; case 2: $Code= $this->HWE_MDM_NCK_V2_VAR2 ($aImei); break; case 3: $Code= $this->HWE_MDM_NCK_V2_VAR3 ($aImei); break; case 4: $Code= $this->HWE_MDM_NCK_V2_VAR4 ($aImei); break; case 5: $Code= $this->HWE_MDM_NCK_V2_VAR5 ($aImei); break; case 6: $Code= $this->HWE_MDM_NCK_V2_VAR6 ($aImei); break; } //if($Code[0] == 0)$Code[0] = 9; return $Code; } function HWE_MDM_NCK_V2_ALGO_SELCTOR ($aImei){ $Id = ""; for ($i = 0; $i<15; $i++) { $Id = $Id + (ord($aImei[$i]) +($i+1))*($i+1); } return ($Id % 7); } function crcKw($num){ $crc = crc32($num); if($crc & 0x80000000){ $crc ^= 0xffffffff; $crc += 1; $crc = -$crc; } return $crc; } function get_unit($hex){ $V0=sprintf("%08X",(ord($hex[0]) & 0x000000FF)); $V1=sprintf("%08X",(ord($hex[1]) << 0x08) & 0x0000FF00); $V2=sprintf("%08X",(ord($hex[2]) << 0x10) & 0x00FF0000); $V3=sprintf("%08X",(ord($hex[3]) << 0x18) & 0xFF000000); return $this->bchexdec((substr($V3,0,2).substr($V2,2,2).substr($V1,4,2).substr($V0,6,2))); } function bchexdec($hex) { if(strlen($hex) == 1) { return hexdec($hex); } else { $remain = substr($hex, 0, -1); $last = substr($hex, -1); return bcadd(bcmul(16, $this->bchexdec($remain)), hexdec($last)); } } function bcdechex($dec){ $last = bcmod($dec, 16); $remain = bcdiv(bcsub($dec, $last), 16); if($remain == 0) { return dechex($last); } else { return $this->bcdechex($remain).dechex($last); } } function hex2str($src){ $length = count( $src ); $dst = ""; for( $i = 0; $i < $length; $i++ ){ $dst .= sprintf( "%c", ( $src[ $i ] )); } return $dst; } function str2hex($src){ $length = strlen( $src ); $dst = ""; for( $i = 0; $i < $length; $i++ ){ $dst .= sprintf( "%02X", ord( $src[ $i ] )); } return $dst; } function hextostr($hex){ $str=''; for ($i=0; $i < strlen($hex)-1; $i+=2){ $str .= chr(hexdec($hex[$i].$hex[$i+1])); } return $str; } function HWE_MDM_NCK_V2_VAR0 ($aImei){ $Tbl = array(0x001966A9,0x0021058F,0x002AEDA9,0x0037CE91, 0x00488C9F,0x005E507D,0x007A9BE5,0x009F644B, 0x00CF35A1,0x010D5F55,0x015E2F25,0x01C73D6B, 0x024FCFDD,0x03015B47,0x03E829E9); $Pass=array(); $S=0; $aNck=""; for ($i = 0; $i<15; $i++) { $S=$S+(ord($aImei[$i])* $Tbl[$i]); } for ($i = 0; $i<8; $i++) { $Pass[$i]=($S & 0x0F) % 0x0A; $S=$S >> 4; } if( $Pass[0] == 0 ) $Pass[0]=1; for ($i = 0; $i<8; $i++) { $aNck[$i]=$Pass[$i]+0x30; } return $this->hex2str($aNck); } function HWE_MDM_NCK_V2_VAR1 ($aImei){ $crc = $this->crcKw($aImei); if ( strlen($crc) > 8 ){ $crc = substr($crc,strlen($crc)-8,8); } while(strlen($crc)<8){ $crc = "9" . $crc; } if ($crc[0]=='0' || $crc[0]=='-' || $crc[0] == ' '){ $crc[0]='9'; } $crc = substr($crc,-8); return $crc; } function HWE_MDM_NCK_V2_VAR2 ($aImei) { $Buf=md5($aImei); $A=hexdec($Buf[0].$Buf[1]) % 10; if ($A==0) $Buf ='05'.substr($Buf,2,strlen($Buf)-2); else $Buf =sprintf( "%02X",$A & 0xFF).substr($Buf,2,strlen($Buf)-2); $aNck=""; for( $i = 0; $i<16; $i=$i+2 ) { if (hexdec($Buf[$i].$Buf[$i+1]) > 0x30 && hexdec($Buf[$i].$Buf[$i+1])< 0x39){ $aNck.=chr(hexdec($Buf[$i].$Buf[$i+1])); } else { $aNck.=chr((hexdec($Buf[$i].$Buf[$i+1]) % 0xA) + 0x30); } } return $aNck; } function HWE_MDM_NCK_V2_VAR3 ($aImei){ $Buf=md5($aImei. $this->hextostr('7f2270465154e80d3afe22dbe80f3dbf')); $Dgst=pack('H*',$Buf); $aNck=""; $Pass_Byte=array(); for( $i = 0; $i < 4; $i++ ) $Pass_Byte[$i] = ord($Dgst[$i+0x00]) ^ ord($Dgst[$i+0x04]) ^ ord($Dgst[$i+0x08]) ^ ord($Dgst[$i+0xC]); $aNck= $Pass_Byte[0] << 0x18 | $Pass_Byte[1] << 0x10 | $Pass_Byte[2] << 0x8 | $Pass_Byte[3]; $aNck= ($aNck & 0x1FFFFFF) | 0x2000000; return $aNck; } function HWE_MDM_NCK_V2_VAR4 ($aImei){ $aNck = ""; $Data_Buff=pack('H*',$this->str2hex($aImei).'5A'); for($i = 0; $i < 8; $i++)$Res_Buff[$i]= ord($Data_Buff[$i]) ^ ord($Data_Buff[$i+8]); $Magic_Buff=pack('H*',$this->str2hex('5739146280098765432112345678905')); for( $i = 0; $i < 8; $i++ ) $Res_Buff[$i]= ($Magic_Buff[(($Res_Buff[$i]) & 0x0F)+(($Res_Buff[$i]) >> 4)]); if ($Res_Buff[0] ==0) { for( $i = 0; $i < 8; $i++ ) if ($Res_Buff[$i]<> 0) break; $Res_Buff[0]= $i; } for( $i = 0; $i < 8; $i++ ) $aNck.=$Res_Buff[$i]; return ($aNck); } function HWE_MDM_NCK_V2_VAR5 ($aImei) { $Dgst=pack('H*',sha1($aImei)); $A= $this->bchexdec(sprintf("%08X",ord($Dgst[0]) << 0x18 | ord($Dgst[1]) << 0x10 | ord($Dgst[2]) << 0x8 | ord($Dgst[3]))); $B= $this->bchexdec(sprintf("%08X",ord($Dgst[4]) << 0x18 | ord($Dgst[5]) << 0x10 | ord($Dgst[6]) << 0x8 | ord($Dgst[7]))); return substr($A.$B,0,8); } function HWE_MDM_NCK_V2_VAR6 ($aImei) { $Magic_Table = array(0x01,0x01,0x02,0x03,0x05,0x08,0x0D,0x15, 0x22,0x37,0x59,0x90); $Buff = array_fill(0, 0x180, 0x00); $dest_buff = array_fill(0, 8, 0x00); for( $i = 0; $i < 0x0f; $i++ ) switch ($i % 3) { case 0: $Buff[$i] =(ord($aImei[$i]) >> 2) & 0xFF | (ord($aImei[$i]) << 6) & 0xFF; break; case 1: $Buff[$i] = (ord($aImei[$i]) >> 3) & 0xFF| (ord($aImei[$i]) << 5) & 0xFF; break; case 2: $Buff[$i] = (ord($aImei[$i]) << 4) & 0xFF| (ord($aImei[$i]) >> 4) & 0xFF; break; } $sum_1 = 0; for( $i = 0; $i < 7; $i++ ) $sum_1 = $sum_1 + (($Buff[$i] << 8) + ($Buff[0x0E - $i])); $sum_1 = $sum_1 +$Buff[8]; $j=0; for( $i = 0x0F; $i < 0x80; $i++ ) { $var_34 = floor($i / 0x0C); $R1= $i % 0x0C; $var_38 = $R1 + $var_34; if ($var_38 >= 0x0C) $var_38 = $var_38 -0x0C; $R1 = $j % 0x0C; if ($var_34<2) $var_34 = $R1 + $var_34; else $var_34 = $R1 + ($var_34 * 0x0D) - 0x18; if ($j==0) $R0= sprintf("%08X",($Buff[$sum_1 % $i]) | (0xFFFFFFFF - $Buff[$sum_1 % $i+1])) ; else $R0 = sprintf("%08X",(0xFFFFFFFF- $Buff[$sum_1 % $j]) | ($Buff[$sum_1 % $i])) ; $Buff[$i]= intval(substr($R0,-2,2),16)|(($Buff[$var_34] & $Magic_Table[$var_38])); $j+=1; } $Sum_2 = 0; for( $i = 0; $i < 7; $i++ ) $Sum_2 = $Sum_2 + (((ord($aImei[$i])) << 8) | ord($aImei[$i+1])); $Sum_2 = $Sum_2 + ord($aImei[0x0E]); print_r($Buff); $Temp=$this->hex2str($Buff); prm($Temp); $Dgst=md5(substr($Temp,0,0x80)); for ($i = 0; $i < 0x80; $i++) { echo "\n".ord($Temp[$i]); } $idx = $Sum_2 & 3; $hash_unit =($this->hextostr(substr($Dgst,$idx*8,8))); $hash_unit=($this->get_unit($hash_unit)); echo "\nidx ".$idx."\n"; echo "\ndgst ".$Dgst."\n"; $DgstA=pack("H*",$Dgst); $Nck_idx=0; for( $i = 0; $i < 0x10; $i++ ) { if ((ord($DgstA[$i]) >= 0x30) & (ord($DgstA[$i]) <= 0x39) ) { $dest_buf[$Nck_idx] = ord($DgstA[$i]); $Nck_idx =$Nck_idx+1; } if ($Nck_idx == 8) { if ($dest_buf[0] ==0x30) if ($Sum_2 == 0) $dest_buf[0] = (ord($Dgst[0]) & 7) + 0x31; else $dest_buf[0] = (ord($Dgst[1]) & 7) + 0x31; return $this->hex2str($dest_buf); } } $j = 0; While ($hash_unit != 0): $R1 = bcmod($hash_unit , 0xA); $hash_unit = bcdiv( $hash_unit , 0xA); $dest_buf[$Nck_idx] = $R1 + 0x30; $Nck_idx +=1; if (( $hash_unit == 0) && ($j == 0)) { $j = 1; $hash_unit =( $this-> hextostr(substr($Dgst,3-$idx,8))); $hash_unit=$this->get_unit($hash_unit); } if ($Nck_idx == 8){ if ($dest_buf[0] ==0x30) if ($Sum_2 == 0) $dest_buf[0] = (ord($DgstA[0]) & 7) + 0x31; else $dest_buf[0] = (ord($DgstA[1]) & 7) + 0x31; return $this->hex2str($dest_buf); } endwhile; for( $i = 0; $i < 0x10; $i++ ) { if ($Nck_idx== 8) $dest_buf[0] = (ord($DgstA[$i]) % 10) + 0x30; else { $dest_buf[$Nck_idx] = (ord($DgstA[$i]) % 10) + 0x30; $Nck_idx+=1; } if ($Nck_idx>=8) if ($dest_buf[0] != 0x30) return $this->hex2str($dest_buf); } if ($Nck_idx == 8){ if ($dest_buf[0] ==0x30) if ($Sum_2 == 0) $dest_buf[0] = (ord($DgstA[0]) & 7) + 0x31; else $dest_buf[0] = (ord($DgstA[1]) & 7) + 0x31; return $this->hex2str($dest_buf); } } } function prm($p) { echo "\n".$p."\n"; } ?> </body> </html>

Here you find the average performance (time & memory) of each version. A grayed out version indicates it didn't complete successfully (based on exit-code).

VersionSystem time (s)User time (s)Memory (MiB)
8.3.40.0060.01319.18
8.3.30.0120.00319.21
8.3.20.0040.00420.29
8.3.10.0040.00423.49
8.3.00.0000.00819.69
8.2.170.0070.00722.96
8.2.160.0070.00720.64
8.2.150.0050.00324.18
8.2.140.0080.00024.66
8.2.130.0080.00026.16
8.2.120.0030.00520.95
8.2.110.0100.00022.08
8.2.100.0120.00018.47
8.2.90.0040.00419.30
8.2.80.0070.00317.97
8.2.70.0050.00517.88
8.2.60.0000.00818.29
8.2.50.0050.00418.07
8.2.40.0030.00518.28
8.2.30.0000.00818.40
8.2.20.0040.00417.94
8.2.10.0040.00418.24
8.2.00.0000.00819.47
8.1.270.0060.00323.78
8.1.260.0080.00026.35
8.1.250.0040.00428.09
8.1.240.0000.00923.82
8.1.230.0120.00019.34
8.1.220.0090.00018.04
8.1.210.0050.00518.77
8.1.200.0000.00917.48
8.1.190.0080.00017.25
8.1.180.0050.00318.10
8.1.170.0000.00918.76
8.1.160.0000.00822.22
8.1.150.0050.00318.79
8.1.140.0040.00417.47
8.1.130.0000.00718.03
8.1.120.0050.00317.57
8.1.110.0060.00317.49
8.1.100.0040.00417.58
8.1.90.0040.00417.57
8.1.80.0070.00017.50
8.1.70.0030.00517.57
8.1.60.0030.00617.68
8.1.50.0000.01017.57
8.1.40.0040.00417.77
8.1.30.0000.00817.93
8.1.20.0000.00817.89
8.1.10.0030.00617.83
8.1.00.0000.00917.71
8.0.300.0000.00918.77
8.0.290.0080.00016.88
8.0.280.0000.00718.65
8.0.270.0000.00817.46
8.0.260.0000.00717.51
8.0.250.0000.00717.27
8.0.240.0030.00517.09
8.0.230.0040.00417.12
8.0.220.0000.00817.15
8.0.210.0000.00717.18
8.0.200.0070.00017.14
8.0.190.0000.00917.24
8.0.180.0030.00517.22
8.0.170.0040.00417.07
8.0.160.0060.00317.14
8.0.150.0080.00017.13
8.0.140.0000.00817.13
8.0.130.0000.00713.55
8.0.120.0030.00517.00
8.0.110.0040.00417.16
8.0.100.0000.00816.98
8.0.90.0050.00317.23
8.0.80.0070.01717.09
8.0.70.0000.00817.13
8.0.60.0000.00817.13
8.0.50.0030.00617.20
8.0.30.0190.00517.38
8.0.20.0080.01817.45
8.0.10.0000.00817.13
8.0.00.0110.00817.09
7.4.330.0030.00315.14
7.4.320.0000.00716.83
7.4.300.0050.00216.84
7.4.290.0000.00716.82
7.4.280.0080.00016.75
7.4.270.0050.00316.87
7.4.260.0080.00016.75
7.4.250.0040.00416.78
7.4.240.0060.00316.80
7.4.230.0000.00816.92
7.4.220.0090.01216.81
7.4.210.0090.00916.89
7.4.200.0000.00816.77
7.4.160.0090.01516.90
7.4.150.0180.00317.40
7.4.140.0150.00817.86
7.4.130.0100.01316.88
7.4.120.0120.01016.79
7.4.110.0060.01216.69
7.4.100.0100.01016.66
7.4.90.0090.00916.86
7.4.80.0070.01319.39
7.4.70.0150.00616.82
7.4.60.0110.00716.71
7.4.50.0100.00016.62
7.4.40.0120.00616.81
7.4.30.0100.01016.77
7.4.00.0140.00415.02
7.3.330.0070.00013.65
7.3.320.0060.00013.44
7.3.310.0040.00416.72
7.3.300.0040.00416.66
7.3.290.0100.00716.73
7.3.280.0120.01016.65
7.3.270.0130.00617.40
7.3.260.0060.01616.88
7.3.250.0160.00316.79
7.3.240.0180.00616.68
7.3.230.0030.01516.63
7.3.210.0070.01316.76
7.3.200.0070.01119.39
7.3.190.0080.01716.63
7.3.180.0070.01016.53
7.3.170.0030.01416.77
7.3.160.0040.01616.73
7.3.120.0030.01215.33
7.2.330.0090.01317.14
7.2.320.0040.01417.03
7.2.310.0140.00916.91
7.2.300.0080.01117.13
7.2.290.0130.00616.72
7.2.60.0070.00717.02
7.2.00.0000.01119.54
7.1.200.0040.00715.79
7.1.100.0030.00618.34
7.1.70.0080.00317.25
7.1.60.0060.01519.70
7.1.50.0100.01016.84
7.1.00.0030.07722.41
7.0.200.0070.00716.88
7.0.140.0070.07322.00
7.0.100.0230.06020.14
7.0.90.0170.07320.24
7.0.80.0130.07320.05
7.0.70.0130.05720.37
7.0.60.0270.06320.23
7.0.50.0100.06320.55
7.0.40.0170.04020.18
7.0.30.0100.08720.18
7.0.20.0170.07320.11
7.0.10.0170.07020.07
7.0.00.0100.05020.13
5.6.250.0070.04320.72
5.6.240.0170.09020.75
5.6.230.0170.07320.62
5.6.220.0170.04020.86
5.6.210.0130.07320.80
5.6.200.0070.09021.21
5.6.190.0130.08321.20
5.6.180.0070.05721.14
5.6.170.0100.07321.12
5.6.160.0130.07721.06
5.6.150.0100.08021.22
5.6.140.0130.03721.16
5.6.130.0070.08721.19
5.6.120.0130.08321.05
5.6.110.0200.06321.17
5.6.100.0230.07321.09
5.6.90.0130.08321.05
5.6.80.0070.04720.61
5.6.70.0100.08020.63
5.6.60.0130.06020.54
5.6.50.0070.08020.64
5.6.40.0070.05320.54
5.6.30.0130.08020.55
5.6.20.0170.07320.46
5.6.10.0170.04020.58
5.6.00.0100.03720.42
5.5.380.0070.06720.48
5.5.370.0070.06720.54
5.5.360.0130.07020.55
5.5.350.0070.08020.56
5.5.340.0130.07320.77
5.5.330.0170.07020.96
5.5.320.0100.07321.01
5.5.310.0100.07021.01
5.5.300.0000.05020.95
5.5.290.0070.06721.00
5.5.280.0200.07720.99
5.5.270.0070.07720.97
5.5.260.0070.06020.97
5.5.250.0170.04320.73
5.5.240.0270.07020.28
5.5.230.0130.04720.14
5.5.220.0130.07720.39
5.5.210.0100.07720.38
5.5.200.0130.07720.20
5.5.190.0200.03720.25
5.5.180.0230.06720.28
5.5.160.0070.08320.25
5.5.150.0100.05320.37
5.5.140.0100.08720.21
5.5.130.0100.03320.26
5.5.120.0070.08020.33
5.5.110.0170.04320.21
5.5.100.0030.04720.24
5.5.90.0100.04720.27
5.5.80.0070.07720.28
5.5.70.0100.04320.18
5.5.60.0100.07720.18
5.5.50.0030.06020.26
5.5.40.0070.07720.16
5.5.30.0070.04720.18
5.5.20.0100.06720.14
5.5.10.0030.08720.23
5.5.00.0070.07320.10
5.4.450.0030.06319.38
5.4.440.0030.09019.49
5.4.430.0100.04319.32
5.4.420.0130.07719.41
5.4.410.0070.08019.43
5.4.400.0100.03719.16
5.4.390.0070.06718.88
5.4.380.0100.08019.11
5.4.370.0100.08319.01
5.4.360.0100.07019.06
5.4.350.0130.04019.00
5.4.340.0030.04319.01
5.4.320.0100.08019.16
5.4.310.0030.04018.95
5.4.300.0170.07319.07
5.4.290.0100.06318.94
5.4.280.0070.08719.23
5.4.270.0100.03318.90
5.4.260.0000.08018.90
5.4.250.0200.04319.16
5.4.240.0170.06319.13
5.4.230.0130.07019.15
5.4.220.0100.03719.00
5.4.210.0070.07718.93
5.4.200.0100.05719.08
5.4.190.0070.05019.07
5.4.180.0170.04019.22
5.4.170.0100.08019.00
5.4.160.0030.04718.93
5.4.150.0130.07319.05
5.4.140.0100.04716.46
5.4.130.0130.05716.45
5.4.120.0070.04716.45
5.4.110.0000.04316.60
5.4.100.0070.08016.38
5.4.90.0070.06316.57
5.4.80.0130.06316.55
5.4.70.0100.07316.46
5.4.60.0030.04716.41
5.4.50.0070.07016.58
5.4.40.0100.06716.51
5.4.30.0100.06316.57
5.4.20.0070.07316.45
5.4.10.0100.03316.45
5.4.00.0030.03715.91
5.3.290.0030.07015.00
5.3.280.0100.08014.79
5.3.270.0200.03314.77
5.3.260.0100.04714.88
5.3.250.0100.04314.85
5.3.240.0130.07314.93
5.3.230.0200.06714.88
5.3.220.0070.05014.70
5.3.210.0070.04714.77
5.3.200.0070.06014.71
5.3.190.0100.07014.77
5.3.180.0130.07714.89
5.3.170.0070.06314.84
5.3.160.0200.07014.77
5.3.150.0130.03314.84
5.3.140.0070.06014.78
5.3.130.0000.04714.69
5.3.120.0070.06014.70
5.3.110.0070.07714.85
5.3.100.0100.07714.22
5.3.90.0030.05714.16
5.3.80.0070.03314.25
5.3.70.0130.07014.35
5.3.60.0170.04314.23
5.3.50.0070.07314.18
5.3.40.0070.07714.27
5.3.30.0070.03314.24
5.3.20.0000.03713.96
5.3.10.0030.08013.87
5.3.00.0030.06013.98

preferences:
42.77 ms | 400 KiB | 5 Q