3v4l.org

run code in 300+ PHP versions simultaneously
<?php $array = array( "h1_serial_number" => array('SERIAL_NUMBER_RACK_1','SERIAL_NUMBER_RACK_1','SERIAL_NUMBER_RACK_1','SERIAL_NUMBER_RACK_1','SERIAL_NUMBER_RACK_1','SERIAL_NUMBER_RACK_1','SERIAL_NUMBER_RACK_1','SERIAL_NUMBER_RACK_1','SERIAL_NUMBER_RACK_1','SERIAL_NUMBER_RACK_1','SERIAL_NUMBER_RACK_1','SERIAL_NUMBER_RACK_1','SERIAL_NUMBER_RACK_1','SERIAL_NUMBER_RACK_1','SERIAL_NUMBER_RACK_1','SERIAL_NUMBER_RACK_1','SERIAL_NUMBER_RACK_1','SERIAL_NUMBER_RACK_1','SERIAL_NUMBER_RACK_1','SERIAL_NUMBER_RACK_1','SERIAL_NUMBER_RACK_1','SERIAL_NUMBER_RACK_1','SERIAL_NUMBER_RACK_1','SERIAL_NUMBER_RACK_1','SERIAL_NUMBER_RACK_1','SERIAL_NUMBER_RACK_1','SERIAL_NUMBER_RACK_1','SERIAL_NUMBER_RACK_1','SERIAL_NUMBER_RACK_1'), "h2_serial_number" => array('SERIAL_NUMBER_SYSTEM_1','SERIAL_NUMBER_SYSTEM_1','SERIAL_NUMBER_SYSTEM_1','SERIAL_NUMBER_SYSTEM_1','SERIAL_NUMBER_SYSTEM_1','SERIAL_NUMBER_SYSTEM_1','SERIAL_NUMBER_SYSTEM_1','SERIAL_NUMBER_SYSTEM_1','SERIAL_NUMBER_SYSTEM_2','SERIAL_NUMBER_SYSTEM_2','SERIAL_NUMBER_SYSTEM_2','SERIAL_NUMBER_SYSTEM_2','SERIAL_NUMBER_SYSTEM_2','SERIAL_NUMBER_SYSTEM_2','SERIAL_NUMBER_SYSTEM_2','SERIAL_NUMBER_SYSTEM_2','SERIAL_NUMBER_SYSTEM_2','SERIAL_NUMBER_SYSTEM_2','SERIAL_NUMBER_SYSTEM_2','SERIAL_NUMBER_SYSTEM_2','SERIAL_NUMBER_SYSTEM_2','SERIAL_NUMBER_SYSTEM_3','SERIAL_NUMBER_SYSTEM_3','SERIAL_NUMBER_SYSTEM_3','SERIAL_NUMBER_SYSTEM_3','SERIAL_NUMBER_SYSTEM_3','SERIAL_NUMBER_SYSTEM_3','SERIAL_NUMBER_SYSTEM_3','SERIAL_NUMBER_SYSTEM_3'), "h3_serial_number" => array('SERIAL_NUMBER_COMPONENT_1','SERIAL_NUMBER_COMPONENT_1','SERIAL_NUMBER_COMPONENT_1','SERIAL_NUMBER_COMPONENT_1','SERIAL_NUMBER_COMPONENT_2','SERIAL_NUMBER_COMPONENT_2','SERIAL_NUMBER_COMPONENT_2','SERIAL_NUMBER_COMPONENT_2','SERIAL_NUMBER_COMPONENT_3','SERIAL_NUMBER_COMPONENT_3','SERIAL_NUMBER_COMPONENT_3','SERIAL_NUMBER_COMPONENT_3','SERIAL_NUMBER_COMPONENT_3','SERIAL_NUMBER_COMPONENT_3','SERIAL_NUMBER_COMPONENT_3','SERIAL_NUMBER_COMPONENT_4','SERIAL_NUMBER_COMPONENT_4','SERIAL_NUMBER_COMPONENT_4','SERIAL_NUMBER_COMPONENT_4','SERIAL_NUMBER_COMPONENT_4','SERIAL_NUMBER_COMPONENT_4','SERIAL_NUMBER_COMPONENT_5','SERIAL_NUMBER_COMPONENT_5','SERIAL_NUMBER_COMPONENT_5','SERIAL_NUMBER_COMPONENT_5','SERIAL_NUMBER_COMPONENT_5','SERIAL_NUMBER_COMPONENT_6','SERIAL_NUMBER_COMPONENT_6','SERIAL_NUMBER_COMPONENT_6'), "h4_serial_number" => array('NULL','NULL','NULL','NULL','NULL','NULL','NULL','NULL','SERIAL_NUMBER_PCBA_1','SERIAL_NUMBER_PCBA_1','NULL','NULL','NULL','NULL','NULL','NULL','NULL','NULL','NULL','NULL','NULL','NULL','NULL','NULL','NULL','NULL','NULL','NULL','NULL'), "h1_earliest_test_date" => array('2017-12-01','2017-12-01','2017-12-01','2017-12-01','2017-12-01','2017-12-01','2017-12-01','2017-12-01','2017-12-01','2017-12-01','2017-12-01','2017-12-01','2017-12-01','2017-12-01','2017-12-01','2017-12-01','2017-12-01','2017-12-01','2017-12-01','2017-12-01','2017-12-01','2017-12-01','2017-12-01','2017-12-01','2017-12-01','2017-12-01','2017-12-01','2017-12-01','2017-12-01'), "h2_earliest_test_date" =>array('2017-11-01','2017-11-01','2017-11-01','2017-11-01','2017-11-01','2017-11-01','2017-11-01','2017-11-01','2017-11-01','2017-11-01','2017-11-01','2017-11-01','2017-11-01','2017-11-01','2017-11-01','2017-11-01','2017-11-01','2017-11-01','2017-11-01','2017-11-01','2017-11-01','2017-11-01','2017-11-01','2017-11-01','2017-11-01','2017-11-01','2017-11-01','2017-11-01','2017-11-01'), "h3_earliest_test_date" => array('2017-10-01','2017-10-01','2017-10-01','2017-10-01','2017-10-01','2017-10-01','2017-10-01','2017-10-01','2017-10-01','2017-10-01','2017-10-01','2017-10-01','2017-10-01','2017-10-01','2017-10-01','2017-10-01','2017-10-01','2017-10-01','2017-10-01','2017-10-01','2017-10-01','2017-10-01','2017-10-01','2017-10-01','2017-10-01','2017-10-01','2017-10-01','2017-10-01','2017-10-01'), "h4_earliest_test_date" =>array('2017-10-01','2017-10-01','2017-10-01','2017-10-01','2017-10-01','2017-10-01','2017-10-01','2017-10-01','2017-10-01','2017-10-01','2017-10-01','2017-10-01','2017-10-01','2017-10-01','2017-10-01','2017-10-01','2017-10-01','2017-10-01','2017-10-01','2017-10-01','2017-10-01','2017-10-01','2017-10-01','2017-10-01','2017-10-01','2017-10-01','2017-10-01','2017-10-01','2017-10-01'), "h1_latest_test_date" =>array('2017-12-30','2017-12-30','2017-12-30','2017-12-30','2017-12-30','2017-12-30','2017-12-30','2017-12-30','2017-12-30','2017-12-30','2017-12-30','2017-12-30','2017-12-30','2017-12-30','2017-12-30','2017-12-30','2017-12-30','2017-12-30','2017-12-30','2017-12-30','2017-12-30','2017-12-30','2017-12-30','2017-12-30','2017-12-30','2017-12-30','2017-12-30','2017-12-30','2017-12-30'), "h2_latest_test_date" => array('2017-11-30','2017-11-30','2017-11-30','2017-11-30','2017-11-30','2017-11-30','2017-11-30','2017-11-30','2017-11-30','2017-11-30','2017-11-30','2017-11-30','2017-11-30','2017-11-30','2017-11-30','2017-11-30','2017-11-30','2017-11-30','2017-11-30','2017-11-30','2017-11-30','2017-11-30','2017-11-30','2017-11-30','2017-11-30','2017-11-30','2017-11-30','2017-11-30','2017-11-30'), "h3_latest_test_date" =>array('2017-10-30','2017-10-30','2017-10-30','2017-10-30','2017-10-30','2017-10-30','2017-10-30','2017-10-30','2017-10-30','2017-10-30','2017-10-30','2017-10-30','2017-10-30','2017-10-30','2017-10-30','2017-10-30','2017-10-30','2017-10-30','2017-10-30','2017-10-30','2017-10-30','2017-10-30','2017-10-30','2017-10-30','2017-10-30','2017-10-30','2017-10-30','2017-10-30','2017-10-30'), "h4_latest_test_date" =>array('2017-10-30','2017-10-30','2017-10-30','2017-10-30','2017-10-30','2017-10-30','2017-10-30','2017-10-30','2017-10-30','2017-10-30','2017-10-30','2017-10-30','2017-10-30','2017-10-30','2017-10-30','2017-10-30','2017-10-30','2017-10-30','2017-10-30','2017-10-30','2017-10-30','2017-10-30','2017-10-30','2017-10-30','2017-10-30','2017-10-30','2017-10-30','2017-10-30','2017-10-30') ); $max = sizeof($array['h1_serial_number']); $globalArray = array(); for ($i = 0; $i < $max; $i++) { $rowArray = array( 'h1_serial_number' => $array['h1_serial_number'][$i], 'h2_serial_number' => $array['h2_serial_number'][$i], 'h3_serial_number' => $array['h3_serial_number'][$i], 'h4_serial_number' => $array['h4_serial_number'][$i], 'h1_earliest_test_date' => $array['h1_earliest_test_date'][$i], 'h1_latest_test_date' => $array['h1_latest_test_date'][$i], 'h2_earliest_test_date' => $array['h2_earliest_test_date'][$i], 'h2_latest_test_date' => $array['h2_latest_test_date'][$i], 'h3_earliest_test_date' => $array['h3_earliest_test_date'][$i], 'h3_latest_test_date' => $array['h3_latest_test_date'][$i], 'h4_earliest_test_date' => $array['h4_earliest_test_date'][$i], 'h4_latest_test_date' => $array['h4_latest_test_date'][$i] ); array_push($globalArray, $rowArray); } //var_dump($globalArray); foreach ( $globalArray as $key=>$val ){ print $val; /*foreach ( $val as $value){ print "$value \n"; }*/ } //echo json_encode($array, JSON_PRETTY_PRINT); ?>
Finding entry points
Branch analysis from position: 0
1 jumps found. (Code = 42) Position 1 = 49
Branch analysis from position: 49
2 jumps found. (Code = 44) Position 1 = 51, Position 2 = 7
Branch analysis from position: 51
2 jumps found. (Code = 77) Position 1 = 52, Position 2 = 56
Branch analysis from position: 52
2 jumps found. (Code = 78) Position 1 = 53, Position 2 = 56
Branch analysis from position: 53
1 jumps found. (Code = 42) Position 1 = 52
Branch analysis from position: 52
Branch analysis from position: 56
1 jumps found. (Code = 62) Position 1 = -2
Branch analysis from position: 56
Branch analysis from position: 7
2 jumps found. (Code = 44) Position 1 = 51, Position 2 = 7
Branch analysis from position: 51
Branch analysis from position: 7
filename:       /in/NXquA
function name:  (null)
number of ops:  58
compiled vars:  !0 = $array, !1 = $max, !2 = $globalArray, !3 = $i, !4 = $rowArray, !5 = $val, !6 = $key
line      #* E I O op                           fetch          ext  return  operands
-------------------------------------------------------------------------------------
    3     0  E >   ASSIGN                                                   !0, <array>
   18     1        FETCH_DIM_R                                      ~8      !0, 'h1_serial_number'
          2        COUNT                                            ~9      ~8
          3        ASSIGN                                                   !1, ~9
   19     4        ASSIGN                                                   !2, <array>
   20     5        ASSIGN                                                   !3, 0
          6      > JMP                                                      ->49
   22     7    >   FETCH_DIM_R                                      ~13     !0, 'h1_serial_number'
          8        FETCH_DIM_R                                      ~14     ~13, !3
          9        INIT_ARRAY                                       ~15     ~14, 'h1_serial_number'
   23    10        FETCH_DIM_R                                      ~16     !0, 'h2_serial_number'
         11        FETCH_DIM_R                                      ~17     ~16, !3
         12        ADD_ARRAY_ELEMENT                                ~15     ~17, 'h2_serial_number'
   24    13        FETCH_DIM_R                                      ~18     !0, 'h3_serial_number'
         14        FETCH_DIM_R                                      ~19     ~18, !3
         15        ADD_ARRAY_ELEMENT                                ~15     ~19, 'h3_serial_number'
   25    16        FETCH_DIM_R                                      ~20     !0, 'h4_serial_number'
         17        FETCH_DIM_R                                      ~21     ~20, !3
         18        ADD_ARRAY_ELEMENT                                ~15     ~21, 'h4_serial_number'
   26    19        FETCH_DIM_R                                      ~22     !0, 'h1_earliest_test_date'
         20        FETCH_DIM_R                                      ~23     ~22, !3
         21        ADD_ARRAY_ELEMENT                                ~15     ~23, 'h1_earliest_test_date'
   27    22        FETCH_DIM_R                                      ~24     !0, 'h1_latest_test_date'
         23        FETCH_DIM_R                                      ~25     ~24, !3
         24        ADD_ARRAY_ELEMENT                                ~15     ~25, 'h1_latest_test_date'
   28    25        FETCH_DIM_R                                      ~26     !0, 'h2_earliest_test_date'
         26        FETCH_DIM_R                                      ~27     ~26, !3
         27        ADD_ARRAY_ELEMENT                                ~15     ~27, 'h2_earliest_test_date'
   29    28        FETCH_DIM_R                                      ~28     !0, 'h2_latest_test_date'
         29        FETCH_DIM_R                                      ~29     ~28, !3
         30        ADD_ARRAY_ELEMENT                                ~15     ~29, 'h2_latest_test_date'
   30    31        FETCH_DIM_R                                      ~30     !0, 'h3_earliest_test_date'
         32        FETCH_DIM_R                                      ~31     ~30, !3
         33        ADD_ARRAY_ELEMENT                                ~15     ~31, 'h3_earliest_test_date'
   31    34        FETCH_DIM_R                                      ~32     !0, 'h3_latest_test_date'
         35        FETCH_DIM_R                                      ~33     ~32, !3
         36        ADD_ARRAY_ELEMENT                                ~15     ~33, 'h3_latest_test_date'
   32    37        FETCH_DIM_R                                      ~34     !0, 'h4_earliest_test_date'
         38        FETCH_DIM_R                                      ~35     ~34, !3
         39        ADD_ARRAY_ELEMENT                                ~15     ~35, 'h4_earliest_test_date'
   33    40        FETCH_DIM_R                                      ~36     !0, 'h4_latest_test_date'
         41        FETCH_DIM_R                                      ~37     ~36, !3
         42        ADD_ARRAY_ELEMENT                                ~15     ~37, 'h4_latest_test_date'
   21    43        ASSIGN                                                   !4, ~15
   35    44        INIT_FCALL                                               'array_push'
         45        SEND_REF                                                 !2
         46        SEND_VAR                                                 !4
         47        DO_ICALL                                                 
   20    48        PRE_INC                                                  !3
         49    >   IS_SMALLER                                               !3, !1
         50      > JMPNZ                                                    ~41, ->7
   38    51    > > FE_RESET_R                                       $42     !2, ->56
         52    > > FE_FETCH_R                                       ~43     $42, !5, ->56
         53    >   ASSIGN                                                   !6, ~43
   39    54        ECHO                                                     !5
   38    55      > JMP                                                      ->52
         56    >   FE_FREE                                                  $42
   46    57      > RETURN                                                   1

Generated using Vulcan Logic Dumper, using php 8.0.0


preferences:
155.2 ms | 1400 KiB | 15 Q